Search results

11 – 20 of over 1000
Article
Publication date: 23 August 2011

D.K. Sharma, R.K. Sharma, B.K. Kaushik and Pankaj Kumar

This paper aims to address the various issues of board‐level (off‐chip) interconnects testing. A new algorithm based on the boundary scan architecture is developed to test…

Abstract

Purpose

This paper aims to address the various issues of board‐level (off‐chip) interconnects testing. A new algorithm based on the boundary scan architecture is developed to test off‐chip interconnect faults. The proposed algorithm can easily diagnose which two interconnects are shorted.

Design/methodology/approach

The problems in board‐level interconnects testing are not simple. A new algorithm is developed to rectify some of the problems in existing algorithms. The proposed algorithm to test board‐level interconnect faults is implemented using Verilog on Modelsim software. The output response of each shorting between different wires of different nodes is different, which is the basis of fault detection by the proposed algorithm. The test vectors are generated by the test pattern generator and these test vectors are different for different nodes. This work implements built in self test using boundary scan technique.

Findings

The dominant‐1 (wired‐OR, denoted as WOR), dominant‐0 (wired‐AND, denoted as WAND) and stuck‐at faults are tested using the proposed algorithm. The proposed algorithm is also compared with the several algorithms in the literature, i.e. modified counting, walking one's algorithm and others. This paper's results are found to be better than the existing algorithms.

Research limitations/implications

The limitation of the proposed algorithm is that, at any time, the faults on any seven nodes can be tested to avoid aliasing. So, the groups are formed out of total nodes, in a multiple of seven to carry out the testing of faults.

Practical implications

The proposed algorithm is free from the problems of syndromes and utilizes a smaller number of test vectors.

Originality/value

Various existing algorithms namely modified counting, walking one's algorithm and others are discussed. A new algorithm is developed which can easily detect board‐level dominant‐1 (WOR), dominant‐0 (WAND) and stuck‐at faults. The proposed algorithm is completely free from aliasing and confounding syndromes.

Details

Circuit World, vol. 37 no. 3
Type: Research Article
ISSN: 0305-6120

Keywords

Article
Publication date: 31 July 2009

K.G. Verma, B.K. Kaushik and R. Singh

Process variation has become a major concern in the design of many nanometer circuits, including interconnect pipelines. The purpose of this paper is to provide a comprehensive…

Abstract

Purpose

Process variation has become a major concern in the design of many nanometer circuits, including interconnect pipelines. The purpose of this paper is to provide a comprehensive overview of types and sources of all aspects of interconnect process variations.

Design/methodology/approach

The impacts of these interconnect process variations on circuit delay and cross‐talk noises along with the two major sources of delays – parametric delay variations and global interconnect delays – have been discussed.

Findings

Parametric delay evaluation under process variation method avoids multiple parasitic extractions and multiple delay evaluations as is done in the traditional response surface method. This results in significant speedup. Furthermore, both systematic and random process variations have been contemplated. The systematic variations need to be experimentally modeled and calibrated while the random variations are inherent fluctuations in process parameters due to any reason in manufacturing and hence are non‐deterministic.

Originality/value

This paper usefully reviews process variation effects on very large‐scale integration (VLSI) interconnect.

Details

Microelectronics International, vol. 26 no. 3
Type: Research Article
ISSN: 1356-5362

Keywords

Article
Publication date: 25 July 2008

Z.W. Zhong

The purpose of this paper is to review recent advances in wire bonding of low‐k devices.

787

Abstract

Purpose

The purpose of this paper is to review recent advances in wire bonding of low‐k devices.

Design/methodology/approach

Dozens of journal and conference articles published in 2005‐2008 are reviewed.

Findings

The paper finds that many articles have discussed and analysed problems/challenges such as bond pad metal peeling/lift, non‐sticking on pad, decreased bonding strength and lower wire‐bond assembly yield. The paper discusses the articles' solutions to the problems and recent findings/developments in wire bonding of low‐k devices.

Research limitations/implications

Because of the page limitation, only brief discussions are given in this paper. Further reading is needed for more details.

Originality/value

The paper attempts to provide an introduction to recent developments and the trends in wire bonding of low‐k devices. With the references provided, readers may explore more deeply by reading the original articles.

Details

Microelectronics International, vol. 25 no. 3
Type: Research Article
ISSN: 1356-5362

Keywords

Article
Publication date: 23 January 2009

Gargi Khanna, Rajeevan Chandel, Ashwani Kumar Chandel and Sankar Sarkar

The aim of this paper is to analyze the effects of aggressor‐line load variations (both active gate and passive capacitive loads) on the non‐ideal effects of a coupled…

Abstract

Purpose

The aim of this paper is to analyze the effects of aggressor‐line load variations (both active gate and passive capacitive loads) on the non‐ideal effects of a coupled VLSI‐interconnect system.

Design/methodology/approach

Signal delay, power dissipation and crosstalk noise in interconnect can be influenced by variation in load of another interconnect which is coupled to it. For active gate and passive capacitive load variations, such effects are studied through SPICE simulations of a coupled interconnect pair in a 0.13 μm technology. Crosstalk between a coupled pair, is affected by transition time of the coupled signal, interconnect length, distance between interconnects, size of driver and receiver, pattern of input, direction of flow of signal and clock skew. In this work, influence of an aggressor‐line load variations (both active gate and passive capacitive loads) on the non‐ideal effects of delay, power consumption and crosstalk in a victim‐line of a coupled VLSI‐interconnect system are determined through SPICE simulation. In this experiment, the victim line is terminated by a fixed capacitive load and the coupled to aggressor line has variable load, either passive capacitive or active gate. Four different input signal cases have been considered for the two types of variable load. Distributed RLC transmission model of interconnect is considered for the SPICE simulations.

Findings

The simulation results reveal that the effects are much dependent on the type of load and signal variations at the inputs of the two mutually coupled interconnects. Load control at the aggressor far end can be used to minimize some of the adverse effects of crosstalk.

Originality/value

This paper shows that in interconnect, signal delay, power consumption and crosstalk are all affected by load variations in a coupled neighboring interconnect.

Details

Microelectronics International, vol. 26 no. 1
Type: Research Article
ISSN: 1356-5362

Keywords

Article
Publication date: 1 July 2014

Devendra Kumar Sharma, Brajesh Kumar Kaushik and R.K. Sharma

– The purpose of this paper is to propose an analytical model for estimating propagation delay in coupled resistance-inductance-capacitance (RLC) interconnects.

Abstract

Purpose

The purpose of this paper is to propose an analytical model for estimating propagation delay in coupled resistance-inductance-capacitance (RLC) interconnects.

Design/methodology/approach

With higher frequency of operation, longer length of interconnect and fast transition time of the signal, the resistor capacitor (RC) models are not sufficient to estimate the delay accurately. To mitigate this problem, accurate delay models for coupled interconnects are required. In this paper, an analytical model for estimation of interconnect delay is developed for simultaneously switching lines. Two distributed RLC lines coupled inductively and capacitively are considered. To validate the proposed model, SPICE results are compared with the proposed analytical results. Each line in the coupled structure is terminated by a capacitive load of 30fF. The driving signal is considered symmetrical with equal rise and fall time of 5 ps and OFF/ON time of 45 ps. The model is validated for both in-phase and out of phase switching of lines.

Findings

It is observed that the model works well for both the phases of inputs switching. The derived expressions of delay exhibit complete physical insight, and the results obtained are in excellent agreement with SPICE results. Comparison of analytical delay with SPICE delay shows an average error of < 2.7 per cent.

Originality/value

The analytical expressions for interconnect delay are derived for the first time under simultaneously switching scenario. This model is useful to estimate delay across the inductively and capacitively coupled interconnects.

Details

Journal of Engineering, Design and Technology, vol. 12 no. 3
Type: Research Article
ISSN: 1726-0531

Keywords

Article
Publication date: 3 February 2020

Afreen Khursheed and Kavita Khare

This paper is an unprecedented effort to resolve the performance issue of very large scale integrated circuits (VLSI) interconnects encountered because of the scaling of device…

Abstract

Purpose

This paper is an unprecedented effort to resolve the performance issue of very large scale integrated circuits (VLSI) interconnects encountered because of the scaling of device dimensions. Repeater interpolation technique is an effective approach for enhancing speed of interconnect network. Proposed buffers as repeater are modeled by using dual chirality multi-Vt technology to reduce delay besides mitigating average power consumption. Interconnects modeled with carbon nanotube (CNT) technology are compared with copper interconnect for various lengths. Buffer circuits are designed with both CNT and metal oxide semiconductor technology for comparison by using various combination of (CMOSFET repeater-Cu interconnect) and (CNTFET repeater-CNT interconnect). Compared to conventional buffer, ProposedBuffer1 saves dynamic power by 84.86%, leakage power by 88% and offers reduction in delay by 72%. ProposedBuffer2 brings about dynamic power saving of 99.94%, leakage power saving of 93%, but causes delay penalty. Simulation using Stanford SPICE model for CNT and silicon-field effective transistor berkeley short-channel IGFET Model4 (BSIM4) predictive technology model (PTM) for MOS is done in H simulation program with integrated circuit emphasis for 32 nm.

Design/methodology/approach

Usually, the dynamic power consumption dominates the total power, while the leakage power has a negligible effect. But with the scaling of device technology, leakage power has become one of the important factors of consideration in low power design techniques. Various strategies are explored to suppress the leakage power in standby mode. The adoption of a multi-threshold design strategy is an effective approach to improve the performance of buffer circuits without compromising on the delay and area overhead. Unlike MOS technology, to implement multi-Vt transistors in case of CNT technology is quite easy. It can be achieved by varying diameter of carbon nanotubes using chirality control.

Findings

An unprecedented approach is taken for optimizing the delay and power dissipation and hence drastically reducing energy consumption by keeping proper harmony between wire technology and repeater-buffer technology. This paper proposes two novel ultra-low power buffers (PB1 and PB2) as repeaters for high-speed interconnect applications in portable devices. PB1 buffer implemented with high-speed CML technique nested with multi-threshold (Vt) technology sleep transistor so as to improve the speed along with a reduction in standby power consumption. PB2 is judicially implemented by inserting separable sized, dual chirality P type carbon nanotube field effective transistors. The HSpice simulation results justify the correctness of schemes.

Originality/value

Result analysis points out that compared to conventional Cu interconnect, the CNT interconnects paired with Proposed CNTFET buffer designs are more energy efficient. PB1 saves dynamic power by 84.86%, reduces propagation delay by 72% and leakage power consumption by 88%. PB2 brings about dynamic power saving of 99.4%, leakage power saving of 93%, with improvement in speed by 52%. This is mainly because of the fact that CNT interconnect offers low resistance and CNTFET drivers have high mobility and ballistic mode of operation.

Details

Circuit World, vol. 46 no. 2
Type: Research Article
ISSN: 0305-6120

Keywords

Article
Publication date: 31 July 2007

Brajesh Kumar Kaushik, Sankar Sarkar, R.P. Agarwal and R.C. Joshi

This paper proposes to study the effect of line resistance and driver width on crosstalk noise for a CMOS gate driven inductively and capacitively coupled VLSI interconnects.

Abstract

Purpose

This paper proposes to study the effect of line resistance and driver width on crosstalk noise for a CMOS gate driven inductively and capacitively coupled VLSI interconnects.

Design/methodology/approach

The paper considers a distributed RLC interconnect topology. The interconnect length is 4 mm and far‐end capacitive loading is 30 fF. The SPICE simulation set‐up uses an IBM 0.13 μm, 1.2 V technology model. The input falling ramp has a transition time of 50 ps. The victim line is grounded through a driver resistance of 50 Ω at near end of interconnect. While observing the effect of line resistance, the aggressor driver has PMOS and NMOS widths of 70 and 30 μm, respectively, and the line resistance is varied from 0 to 500 Ω. For capturing the effect of driver width, SPICE waveforms are generated at far end of victim line for three different line resistances (R=0, 30, and 60 Ω respectively). In each case, the aggressor PMOS driver width is swept from 20 to 100 μm. The corresponding NMOS width is half of PMOS width.

Findings

It is observed that, as line resistance increases, the noise peak reduces. This is due to the fact that with increasing resistance the incident and reflected waves traveling along the line experience increasing attenuation. Hence, the waves arriving at the far‐end of the line are of smaller magnitude and larger time durations. This causes noise pulses in the lossy lines to be smaller and wider compared with those in a lossless line. The effect of driver width on noise waveforms is further observed. It is observed that, as the PMOS (and corresponding NMOS) driver width is increased, the victim line gets more prone to crosstalk noise. The crosstalk magnitude level increases alarmingly as driver width is increased, because the driver resistance decreases, which in turn increases the current driving capability of driver.

Originality/value

While designing coupled interconnects, driver width and line resistance play an important role in deciding the crosstalk level. An interconnect designer often increases driver width and reduces line resistance for achieving lower propagation delays. This effort may result in higher crosstalk noise in coupled interconnect. Therefore, a designer should be concerned simultaneously for crosstalk noise while reducing delays.

Details

Microelectronics International, vol. 24 no. 3
Type: Research Article
ISSN: 1356-5362

Keywords

Article
Publication date: 23 January 2009

Z.W. Zhong

This paper attempts to review recent advances in wire bonding using copper wire.

2143

Abstract

Purpose

This paper attempts to review recent advances in wire bonding using copper wire.

Design/methodology/approach

Dozens of journal and conference articles published recently are reviewed.

Findings

The problems/challenges such as wire open and short tail defects, poor bondability for stitch/wedge bonds, oxidation of Cu wire, strain‐hardening effects, and stiff wire on weak support structures are briefly analysed. The solutions to the problems and recent findings/developments in wire bonding using copper wire are discussed.

Research limitations/implications

Because of page limitation of the paper, only a brief review is conducted. Further reading is needed for more details.

Originality/value

This paper attempts to provide introduction to recent developments and the trends in wire bonding using copper wire. With the references provided, readers may explore more deeply by reading the original articles.

Details

Microelectronics International, vol. 26 no. 1
Type: Research Article
ISSN: 1356-5362

Keywords

Article
Publication date: 31 July 2007

Z.W. Zhong, T.Y. Tee and J‐E. Luan

This paper seeks to review recent advances in wire bonding, flip chip and lead‐free solder for advanced microelectronics packaging.

1832

Abstract

Purpose

This paper seeks to review recent advances in wire bonding, flip chip and lead‐free solder for advanced microelectronics packaging.

Design/methodology/approach

Of the 91 journal papers, 59 were published in 2005‐2007 and topics related to wire bonding, flip chip and lead‐free solder for advanced microelectronics packaging are reviewed.

Findings

Research on advanced wire bonding is continuously performed for advanced and complex applications such as stacked‐dies wire bonding, wire bonding of low‐k ultra‐fine‐pitch devices, and copper wire bonding. Owing to its many advantages, flip chip using adhesive has gained more popularity. Research on the reliability of lead‐free solder joints is being conducted world‐wide. The new challenges, solutions and new developments are discussed in this paper.

Research limitations/implications

Because of page limitation of this review paper and the large number of the journal papers available, only a brief review is conducted. Further reading is needed for more details.

Originality/value

This review paper attempts to provide introduction to recent developments and the trends in terms of the topics for advanced microelectronics packaging. With the references provided, readers may explore more deeply, focusing on a particular issue.

Details

Microelectronics International, vol. 24 no. 3
Type: Research Article
ISSN: 1356-5362

Keywords

Article
Publication date: 1 June 2021

Peerzada Mudasir and Javed Ahmed Naqash

The aim of this research is to study the role and formation of hydration products particularly crystalline portlandite Ca(OH)2 in MWCNT-reinforced concrete at 28 days. Concrete is…

Abstract

Purpose

The aim of this research is to study the role and formation of hydration products particularly crystalline portlandite Ca(OH)2 in MWCNT-reinforced concrete at 28 days. Concrete is the largest manufactured building material in world in which cement, sand aggregates and water cement ratio plays governing role. Water–Cement ratio decides it strength, usage, serviceability and durability. As strength of concrete depends on formation of crystalline hydrates; therefore, water–cement ratio can alter formation of hydrates also. Unfortunately, concrete is the most brittle material and to overcome brittleness of conventional concrete is tailored with some fibers. Till now, multiwalled carbon nano tubes are the most tensile and strongest materials discovered. Addition of multiwalled carbon nano tubes changes basic properties of conventional concrete. Therefore, it is important to evaluate formation of crystalline hydrates in multiwalled carbon nano tube–reinforced concrete by micro structure analysis.

Design/methodology/approach

Till now, multiwalled carbon nano tube–reinforced concrete has not been analyzed at micro structure level. To accomplish the objective, four concrete mixes with 0.45, 0.48, 0.50 and 0.55 water–cement ratio having 0.5 and 1% multiwalled carbon nano tubes incorporated by weight of cement, respectively. For hardening property analysis, compressive strength was obtained by crushing cubes; flexural strength was obtained by three-point loading; and split tensile strength was obtained by splitting cylindrical specimens. For analyzing role and formation of crystalline portlandite Ca(OH)2 hydrates, X-ray diffraction test was conducted on 75-µ dust of each mix. Scanning electron microscopy analysis was performed on fractured samples of crushed cubes of multiwalled carbon nano tube–reinforced concrete samples to check aggloremation.

Findings

It was observed multiwalled carbon nano tubes successfully enhanced compressive strength, flexural strength and split tensile strength by 8.89, 5.33 and 28.90%, respectively, in comparison to reference concrete at 0.45 water–cement ratio and 0.5% multiwalled carbon nano tubes by weight of cement. When its content was increased from 0.5 to 1% by weight of cement compressive strength, flexural strength and split tensile strength diminished by 2.04, 0.32 and 1.18%, respectively, at 0.45 water–cement ratio. With the increment of water–cement ratio, overall strength decreased in all mixes, but in multiwalled carbon nano tube–reinforced concrete mixes, strength was more than reference mixes. In reference, concrete at 0.45 water–cement ratio crystalline portlandite Ca(OH)2 crystals are of nano metre size, but in carbon nano tube–reinforced concrete mix having 0.45 water–cement ratio and 0.5% multiwalled carbon nano tubes by weight of cement, its size is much smaller than reference mix, thereby enhancing mechanical strength. In reference, concrete at 0.55 water–cement ratio size of crystalline portladite Ca(OH)2 crystals is large, but with incorporation of multiwalled carbon nano tubes, their size reduced, thereby enhancing mechanical strength of carbon nano tube–reinforced concrete having 0.55 water–cement ratio and 0.5 and 1% multiwalled carbon nano tubes by weight of cement, respectively. Also at 1% multiwalled carbon nano tubes by weight of cement, agglomeration and reduction in formation of crystalline portlandite Ca(OH)2 crystals were observed. Multiwalled carbon nano tubes effectively refine pores and restrict propagation of micro cracks and act as nucleation sites for Calcium-Silicate-Hydrate phase. Geometry of crystalline axis of fracture for portlandite Ca(OH)2 crystals is altered with incorporation of multiwalled carbon nano tubes. Crystalline portlandite Ca(OH)2 crystals and bridging effect of multiwalled carbon nano tubes is governing factor for enhancing strength of multiwalled carbon nano tube reinforced concrete.

Practical implications

Multiwalled carbon nano tube–reinforced concrete can be used to make strain sensing concrete.

Originality/value

Change in geometry and size of axis of fracture of crystalline portladite Ca(OH)2 crystals with incorporation of multiwalled carbon nano tubes.

Details

World Journal of Engineering, vol. 18 no. 6
Type: Research Article
ISSN: 1708-5284

Keywords

11 – 20 of over 1000