Search results

1 – 10 of over 23000
Article
Publication date: 1 April 1993

L. Guan, C. Pusarla, G. Halkias and A. Christou

As speed and complexity of electronic systems increase, the interconnect density has become the critical limitation to the performance of electrical systems. The performance of…

Abstract

As speed and complexity of electronic systems increase, the interconnect density has become the critical limitation to the performance of electrical systems. The performance of computing and switching systems can be increased by optimizing the interconnect density and throughput. At the board to board level, electrical interconnects at high speeds require a bulky and expensive backplane. At the chip to chip area, the allocation of interconnects limits the performance of the chips. Electrical lossy lines limit the maximum interconnect distance due to reflections, risetime degradation, increased delay, attenuation and cross talk . Optical interconnects present the possibility of solving the interconnect problems by potentially achieving a high bandwidth and high volume density of channels. At high data rates (greater than 1 Gb/s) several channels may operate with negligible mutual interference.

Details

COMPEL - The international journal for computation and mathematics in electrical and electronic engineering, vol. 12 no. 4
Type: Research Article
ISSN: 0332-1649

Article
Publication date: 23 January 2009

Gargi Khanna, Rajeevan Chandel, Ashwani Kumar Chandel and Sankar Sarkar

The aim of this paper is to analyze the effects of aggressor‐line load variations (both active gate and passive capacitive loads) on the non‐ideal effects of a coupled VLSI…

Abstract

Purpose

The aim of this paper is to analyze the effects of aggressor‐line load variations (both active gate and passive capacitive loads) on the non‐ideal effects of a coupled VLSI‐interconnect system.

Design/methodology/approach

Signal delay, power dissipation and crosstalk noise in interconnect can be influenced by variation in load of another interconnect which is coupled to it. For active gate and passive capacitive load variations, such effects are studied through SPICE simulations of a coupled interconnect pair in a 0.13 μm technology. Crosstalk between a coupled pair, is affected by transition time of the coupled signal, interconnect length, distance between interconnects, size of driver and receiver, pattern of input, direction of flow of signal and clock skew. In this work, influence of an aggressor‐line load variations (both active gate and passive capacitive loads) on the non‐ideal effects of delay, power consumption and crosstalk in a victim‐line of a coupled VLSI‐interconnect system are determined through SPICE simulation. In this experiment, the victim line is terminated by a fixed capacitive load and the coupled to aggressor line has variable load, either passive capacitive or active gate. Four different input signal cases have been considered for the two types of variable load. Distributed RLC transmission model of interconnect is considered for the SPICE simulations.

Findings

The simulation results reveal that the effects are much dependent on the type of load and signal variations at the inputs of the two mutually coupled interconnects. Load control at the aggressor far end can be used to minimize some of the adverse effects of crosstalk.

Originality/value

This paper shows that in interconnect, signal delay, power consumption and crosstalk are all affected by load variations in a coupled neighboring interconnect.

Details

Microelectronics International, vol. 26 no. 1
Type: Research Article
ISSN: 1356-5362

Keywords

Article
Publication date: 1 January 2014

Mayank Kumar Rai, Rajesh Khanna and Sankar Sarkar

This paper aims to propose to study the control of tube parameters in terms of diameter, separation between adjacent tubes and length, on delay and power dissipation in…

Abstract

Purpose

This paper aims to propose to study the control of tube parameters in terms of diameter, separation between adjacent tubes and length, on delay and power dissipation in single-walled carbon nanotube (SWCNT) bundle interconnect for VLSI circuits.

Design/methodology/approach

The paper considers a distributed-RLC model of interconnect. A CMOS-inverter driving a distributed-RLC model of interconnect with load of 1 pF. A 0.1 GHz pulse of 2 ns rise time provides input to the CMOS-inverter. For SPICE simulation, predictive technology model (PTM) is used for the CMOS-driver. The performance of this setup is studied by SPICE simulation in 22 nm technology node. The results are compared with those of currently used copper interconnect.

Findings

SPICE simulation results reveal that delay increases with increase in separation between tubes and diameter whereas the reverse is true for power dissipation. The authors also find that SWCNT bundle interconnects are of lower delay than copper interconnect at various lengths and higher power dissipation due to dominance of larger capacitance of tube bundle.

Originality/value

The investigations show that tube parameters can control delay and this can also be utilized to decrease power dissipation in SWCNT bundle interconnects for VLSI applications.

Details

Microelectronics International, vol. 31 no. 1
Type: Research Article
ISSN: 1356-5362

Keywords

Article
Publication date: 1 January 2006

Brajesh Kumar Kaushik, S. Sarkar and R.P. Agarwal

The performance of a high‐speed chip is highly dependent on the interconnects, which connect different macro cells within a VLSI chip. Delay, power dissipation and cross‐talk are…

Abstract

Purpose

The performance of a high‐speed chip is highly dependent on the interconnects, which connect different macro cells within a VLSI chip. Delay, power dissipation and cross‐talk are the major design constraints for high performance VLSI interconnects. The importance of on‐chip inductance is continuously increasing with higher clock frequency, faster on‐chip rise time, wider wires, ever‐growing length of interconnects and introduction of new materials for low resistance interconnects. In the current scenario, interconnect is modeled as an RLC transmission line. Interconnect width optimization plays an important role in deciding transition delay and power dissipation. This paper aims to optimize interconnect width for a matched condition to reduce power and delay parameters.

Design/methodology/approach

Width optimization is done for two sets of interconnect terminating conditions, namely active gate and passive capacitance. SPICE simulations have been used to validate the findings.

Findings

For a driver interconnect load model terminated by an active gate load, a trade‐off exists between short circuit and dynamic power in inductive interconnects, since with wider lines dynamic power increases, but short circuit power of the load gate decreases due to reduced transient delay. Whereas, for a line terminated by a capacitor, such trade‐off does not exist. Many of the previous researches have modeled the active gate load at the terminating end by its input parasitic gate capacitance.

Practical implications

This paper shows that such modeling leads to inaccuracy in estimation of power, and therefore non‐optimal width selection, especially for large fan‐out conditions.

Originality/value

The finding is that the impedance matching between transmission line at driver and load ends plays an important role in estimation of overall power dissipation and transition delay of a VLSI circuit.

Details

Microelectronics International, vol. 23 no. 1
Type: Research Article
ISSN: 1356-5362

Keywords

Article
Publication date: 1 April 2005

Rajeevan Chandel, S. Sarkar and R.P. Agarwal

Delay and power dissipation are the two major design constraints in very large scale integration (VLSI) circuits. These arise due to millions of active devices and…

1699

Abstract

Purpose

Delay and power dissipation are the two major design constraints in very large scale integration (VLSI) circuits. These arise due to millions of active devices and interconnections connecting this gigantic number of devices on the chip. Important technique of repeater insertion in long interconnections to reduce delay in VLSI circuits has been reported during the last two decades. This paper deals with delay, power dissipation and the role of voltage‐scaling in repeaters loaded long interconnects in VLSI circuits for low power environment.

Design/methodology/approach

Trade off between delay and power dissipation in repeaters inserted long interconnects has been reviewed here with a bibliographic survey. SPICE simulations have been used to validate the findings.

Findings

Optimum number of uniform sized CMOS repeaters inserted in long interconnects, lead to delay minimization. Voltage‐scaling is highly effective in reduction of power dissipation in repeaters loaded long interconnects. The new finding given here is that optimum number of repeaters required for delay minimization decreases with voltage‐scaling. This leads to area and further power saving.

Research limitations

The bibliographic survey needs to be revised in future, taking the various other aspects of VLSI interconnects viz. noise, cross talk extra into account.

Originality/value

The paper is of high significance in VLSI design and low‐power high‐speed applications. It is also valuable for new researchers in this emerging field.

Details

Microelectronics International, vol. 22 no. 1
Type: Research Article
ISSN: 1356-5362

Keywords

Article
Publication date: 3 February 2020

Afreen Khursheed and Kavita Khare

This paper is an unprecedented effort to resolve the performance issue of very large scale integrated circuits (VLSI) interconnects encountered because of the scaling of device…

Abstract

Purpose

This paper is an unprecedented effort to resolve the performance issue of very large scale integrated circuits (VLSI) interconnects encountered because of the scaling of device dimensions. Repeater interpolation technique is an effective approach for enhancing speed of interconnect network. Proposed buffers as repeater are modeled by using dual chirality multi-Vt technology to reduce delay besides mitigating average power consumption. Interconnects modeled with carbon nanotube (CNT) technology are compared with copper interconnect for various lengths. Buffer circuits are designed with both CNT and metal oxide semiconductor technology for comparison by using various combination of (CMOSFET repeater-Cu interconnect) and (CNTFET repeater-CNT interconnect). Compared to conventional buffer, ProposedBuffer1 saves dynamic power by 84.86%, leakage power by 88% and offers reduction in delay by 72%. ProposedBuffer2 brings about dynamic power saving of 99.94%, leakage power saving of 93%, but causes delay penalty. Simulation using Stanford SPICE model for CNT and silicon-field effective transistor berkeley short-channel IGFET Model4 (BSIM4) predictive technology model (PTM) for MOS is done in H simulation program with integrated circuit emphasis for 32 nm.

Design/methodology/approach

Usually, the dynamic power consumption dominates the total power, while the leakage power has a negligible effect. But with the scaling of device technology, leakage power has become one of the important factors of consideration in low power design techniques. Various strategies are explored to suppress the leakage power in standby mode. The adoption of a multi-threshold design strategy is an effective approach to improve the performance of buffer circuits without compromising on the delay and area overhead. Unlike MOS technology, to implement multi-Vt transistors in case of CNT technology is quite easy. It can be achieved by varying diameter of carbon nanotubes using chirality control.

Findings

An unprecedented approach is taken for optimizing the delay and power dissipation and hence drastically reducing energy consumption by keeping proper harmony between wire technology and repeater-buffer technology. This paper proposes two novel ultra-low power buffers (PB1 and PB2) as repeaters for high-speed interconnect applications in portable devices. PB1 buffer implemented with high-speed CML technique nested with multi-threshold (Vt) technology sleep transistor so as to improve the speed along with a reduction in standby power consumption. PB2 is judicially implemented by inserting separable sized, dual chirality P type carbon nanotube field effective transistors. The HSpice simulation results justify the correctness of schemes.

Originality/value

Result analysis points out that compared to conventional Cu interconnect, the CNT interconnects paired with Proposed CNTFET buffer designs are more energy efficient. PB1 saves dynamic power by 84.86%, reduces propagation delay by 72% and leakage power consumption by 88%. PB2 brings about dynamic power saving of 99.4%, leakage power saving of 93%, with improvement in speed by 52%. This is mainly because of the fact that CNT interconnect offers low resistance and CNTFET drivers have high mobility and ballistic mode of operation.

Details

Circuit World, vol. 46 no. 2
Type: Research Article
ISSN: 0305-6120

Keywords

Article
Publication date: 21 June 2022

Pushpesh Pant, Shantanu Dutta and S.P. Sarmah

The purpose of this paper is to examine how over-reliance on buyer-supplier relational capital (created through the interconnected supply chain and social network) impacts firm…

Abstract

Purpose

The purpose of this paper is to examine how over-reliance on buyer-supplier relational capital (created through the interconnected supply chain and social network) impacts firm performance in the context of the emerging market, i.e. India.

Design/methodology/approach

The study uses the Prowess database (on Indian firms) to identify the firms that rely heavily on relational capital and employs panel data regression analyses to test the effect of relational capital on firm performance (supply chain performance and financial performance).

Findings

The results show that over-reliance on relational capital leads to lower supply chain performance (proxied by supply chain cycle) and financial performance (proxied by Tobin's Q). The results also reveal that supply chain performance mediates the relationship between over-reliance on relational capital and financial performance. Together, these results indicate that over-reliance on relational capital created through the interconnected supply chain and social network for supply chain management may negatively affect a firm's competitive advantage, which in turn can significantly impede its financial performance.

Originality/value

In light of the supply chain literature and relevant theories, the study develops an objective understanding of over-reliance relational capital created through the interconnected supply chain and social network, by relying on a large panel dataset of manufacturing firms and hence contributes to the supply chain literature. Also, it presents a novel idea to operationalize the measure for relational capital using the Prowess database.

Details

International Journal of Emerging Markets, vol. 19 no. 1
Type: Research Article
ISSN: 1746-8809

Keywords

Article
Publication date: 31 July 2007

Min Tang, J.F. Mao and L.L. Jiang

This paper aims to obtain the optimal wire sizing of buffered global interconnects and to investigate the impact of weight factor on the optimized system performance for various…

Abstract

Purpose

This paper aims to obtain the optimal wire sizing of buffered global interconnects and to investigate the impact of weight factor on the optimized system performance for various technology nodes.

Design/methodology/approach

The width and spacing of interconnects are optimized under two scenarios, and corresponding optimum line width is determined by minimizing the value of power‐delay product which is defined as a figure of merit (FOM). Based on the results, the impact of weight factor on the optimized system performance, such as delay and power dissipation per unit length, is analyzed for various technology nodes.

Findings

The analytical expressions of the optimum width are derived under two scenarios. Better FOMs can be achieved for the S=W scenario, but the wireability of the chip degrades considerably. The optimized delay increases with the increasing of weight factor, while the optimized power dissipation decreases with it. For a given weight factor, smaller latency and less power dissipation can be achieved for the S=W case.

Originality/value

The analytical expressions of the optimum width of interconnects are given, and a comprehensive study of the impact of weight factor on the optimized results under two scenarios is presented.

Details

Microelectronics International, vol. 24 no. 3
Type: Research Article
ISSN: 1356-5362

Keywords

Article
Publication date: 24 April 2007

Brajesh Kumar Kaushik, Saurabh Goel and Gaurav Rauthan

To review and explore optical fiber and carbon nanotube (CNT) as prospective alternatives to copper in VLSI interconnections.

1716

Abstract

Purpose

To review and explore optical fiber and carbon nanotube (CNT) as prospective alternatives to copper in VLSI interconnections.

Design/methodology/approach

As the technology moves to deep submicron level, the interconnect width also scales down. Increasing resistivity of copper with scaling and rising demands on current density drives the need for identifying new wiring solutions. This paper explores various alternatives to copper. Metallic CNTs, optical interconnects are promising candidates that can potentially address the challenges faced by copper.

Findings

Although, the theoretical aspects proves CNTs and optical interconnect to be better alternative against copper on the ground of performance parameters such as power dissipation, switching delay, crosstalk. But copper would last for coming decades on integration basis.

Originality/value

This paper reviews the state‐of‐the‐art in CNT interconnect and optical interconnect research; and discusses both the advantages and challenges of these emerging technologies.

Details

Microelectronics International, vol. 24 no. 2
Type: Research Article
ISSN: 1356-5362

Keywords

Article
Publication date: 29 March 2011

D.K. Sharma, B.K. Kaushik and R.K. Sharma

The purpose of this paper is to explore the functioning of very‐large‐scale integration (VLSI) interconnects and modeling of interconnects and evaluate different approaches of…

Abstract

Purpose

The purpose of this paper is to explore the functioning of very‐large‐scale integration (VLSI) interconnects and modeling of interconnects and evaluate different approaches of testing interconnects.

Design/methodology/approach

In the past, on‐chip interconnect wires were not considered in circuit analysis except in high precision analysis. Wiring‐up of on‐chip devices takes place through various conductors produced during fabrication process. The shrinking size of metal‐oxide semiconductor field effect transistor devices is largely responsible for growth of VLSI circuits. With deep sub‐micron (DSM) technology, the interconnect geometry is scaled down for high wiring density. The complex geometry of interconnects and high operational frequency introduce wire parasitics and inter‐wire parasitics. These parasitics causes delay, power dissipation, and crosstalk that may affect the signal integrity in VLSI system. Accurate analysis, sophisticated design, and effective test methods are the requirement to ensure the proper functionality and reliability of VLSI circuits. The testing of interconnect is becoming important and a challenge in the current technology.

Findings

The effects of interconnect on signal integrity, power dissipation, and delay emerges significantly in DSM technology. For proper performance of the circuit, testing of interconnect is important and emerging challenge in the nanotechnology era. Although some work has been done for testing of interconnect, however, it is still an open area to test the parasitics effects of VLSI/ultra‐large‐scale integration interconnects. Efforts are required to analyze and to develop test methods for crosstalk, delay and power dissipation in current technology with solutions to minimize this effect.

Originality/value

This paper reviews the functioning of VLSI interconnects from micrometer to nanometer technology. The development of various interconnect models from simple short circuit to latest resistance inductance capacitance transmission line model are discussed. Furthermore, various methodologies such as built‐in self test and other techniques for testing interconnect for crosstalk and delay are discussed.

Details

Journal of Engineering, Design and Technology, vol. 9 no. 1
Type: Research Article
ISSN: 1726-0531

Keywords

1 – 10 of over 23000