Search results

1 – 10 of 44
Article
Publication date: 22 March 2013

Yograj Singh Duksh, Brajesh Kumar Kaushik, Sankar Sarkar and Raghuvir Singh

The purpose of this paper is to analyze the effect of driver size and number of shells on propagation delay and power for multi‐walled carbon nanotubes (MWCNT) interconnects at…

Abstract

Purpose

The purpose of this paper is to analyze the effect of driver size and number of shells on propagation delay and power for multi‐walled carbon nanotubes (MWCNT) interconnects at 22 nm technology node.

Design/methodology/approach

An equivalent circuit model of MWCNT is used for estimation and analysis of propagation delay and power. The delay and power through MWCNT and Cu interconnects are compared for various driver sizes and number of MWCNT shells.

Findings

The SPICE simulation results show that the MWCNT interconnect has lower propagation delay than Cu interconnects. The delay ratio of MWCNT to Cu decreases with increase in length for different driver size and number of MWCNT shells. However, the delay ratio increases with reduction in number of MWCNT shells. The ratio of average power consumption (MWCNT/Cu) also decreases with the variation in driver size and numbers of shells with respect to the length of interconnect. The theoretical study proves CNTs to be better alternatives against copper on the ground of performance parameters.

Research limitations/implications

Several challenges remain to be overcome in the areas of fabrication and process integration for CNTs. Lowering of metal nanotube contact resistance would be vital, especially for local interconnect and via applications. Moreover, rigorous characterization and modeling of electromagnetic interactions in CNT bundles; 3‐D (metal) to 1‐D (CNT) contact resistance; impact of defects on electrical and thermal properties; and high‐frequency effects are being seen as additional challenges.

Originality/value

This paper investigates, assesses and compares the performance of carbon nanotubes (CNT) based interconnects as prospective alternatives to copper wire interconnects in future VLSI chips. Multi walled CNTs assure for long/global interconnect applications.

Details

Journal of Engineering, Design and Technology, vol. 11 no. 1
Type: Research Article
ISSN: 1726-0531

Keywords

Article
Publication date: 23 January 2009

Gargi Khanna, Rajeevan Chandel, Ashwani Kumar Chandel and Sankar Sarkar

The aim of this paper is to analyze the effects of aggressor‐line load variations (both active gate and passive capacitive loads) on the non‐ideal effects of a coupled…

Abstract

Purpose

The aim of this paper is to analyze the effects of aggressor‐line load variations (both active gate and passive capacitive loads) on the non‐ideal effects of a coupled VLSI‐interconnect system.

Design/methodology/approach

Signal delay, power dissipation and crosstalk noise in interconnect can be influenced by variation in load of another interconnect which is coupled to it. For active gate and passive capacitive load variations, such effects are studied through SPICE simulations of a coupled interconnect pair in a 0.13 μm technology. Crosstalk between a coupled pair, is affected by transition time of the coupled signal, interconnect length, distance between interconnects, size of driver and receiver, pattern of input, direction of flow of signal and clock skew. In this work, influence of an aggressor‐line load variations (both active gate and passive capacitive loads) on the non‐ideal effects of delay, power consumption and crosstalk in a victim‐line of a coupled VLSI‐interconnect system are determined through SPICE simulation. In this experiment, the victim line is terminated by a fixed capacitive load and the coupled to aggressor line has variable load, either passive capacitive or active gate. Four different input signal cases have been considered for the two types of variable load. Distributed RLC transmission model of interconnect is considered for the SPICE simulations.

Findings

The simulation results reveal that the effects are much dependent on the type of load and signal variations at the inputs of the two mutually coupled interconnects. Load control at the aggressor far end can be used to minimize some of the adverse effects of crosstalk.

Originality/value

This paper shows that in interconnect, signal delay, power consumption and crosstalk are all affected by load variations in a coupled neighboring interconnect.

Details

Microelectronics International, vol. 26 no. 1
Type: Research Article
ISSN: 1356-5362

Keywords

Article
Publication date: 1 January 2014

Mayank Kumar Rai, Rajesh Khanna and Sankar Sarkar

This paper aims to propose to study the control of tube parameters in terms of diameter, separation between adjacent tubes and length, on delay and power dissipation in…

Abstract

Purpose

This paper aims to propose to study the control of tube parameters in terms of diameter, separation between adjacent tubes and length, on delay and power dissipation in single-walled carbon nanotube (SWCNT) bundle interconnect for VLSI circuits.

Design/methodology/approach

The paper considers a distributed-RLC model of interconnect. A CMOS-inverter driving a distributed-RLC model of interconnect with load of 1 pF. A 0.1 GHz pulse of 2 ns rise time provides input to the CMOS-inverter. For SPICE simulation, predictive technology model (PTM) is used for the CMOS-driver. The performance of this setup is studied by SPICE simulation in 22 nm technology node. The results are compared with those of currently used copper interconnect.

Findings

SPICE simulation results reveal that delay increases with increase in separation between tubes and diameter whereas the reverse is true for power dissipation. The authors also find that SWCNT bundle interconnects are of lower delay than copper interconnect at various lengths and higher power dissipation due to dominance of larger capacitance of tube bundle.

Originality/value

The investigations show that tube parameters can control delay and this can also be utilized to decrease power dissipation in SWCNT bundle interconnects for VLSI applications.

Details

Microelectronics International, vol. 31 no. 1
Type: Research Article
ISSN: 1356-5362

Keywords

Article
Publication date: 1 September 2006

Brajesh Kumar Kaushik, Sankar Sarkar, R.P. Agarwal and R.C. Joshi

To analyze factors affecting crosstalk and to study the effect of repeater insertion on crosstalk, power dissipation and propagation delay.

Abstract

Purpose

To analyze factors affecting crosstalk and to study the effect of repeater insertion on crosstalk, power dissipation and propagation delay.

Design/methodology/approach

Crosstalk is effected by transition time of the signal; length of interconnect; distance between interconnects; size of driver and receiver; pattern of input; direction of flow of signal; and clock skew. This work is based on simulating interconnects with parameters obtained from 0.13 μm process. The types of noise addressed are overshoot; undershoot and oscillatory noise. Further, to study the effect of repeater insertion on crosstalk, repeaters are inserted in one line, i.e. line A only. Uniform repeaters varying in number from 1 to 60 are each of size Wn=3.9 μm and Wp=7.8 μm. Both lines A and B are terminated by a capacitive load of 5 fF. A crosstalk noise effect is measured for line A loaded with repeaters. The number of repeater is varied for four different cases of stimulations to both lines viz. input to line A, i.e. VA switching from low to high; input to line B, i.e. VB switching from low to high; input to line A i.e VA switching from low to high; input to line B, i.e. VB switching from high to low; VA switching from high to low and VB at static low; VA switching from high to low and VB at static high.

Findings

This paper shows the prominent factors such as edge rate, length and pattern of inputs affecting the noise. It is observed that presence of inductive effects can seriously hamper the functioning of the chip. This paper further reveals that repeater insertion not only reduces the propagation delay but also crosstalk levels for coupled lines. Repeaters can be efficiently utilized for reduction of propagation delay and crosstalk noise at a trade of marginal increase in power dissipation. The power‐delay‐crosstalk‐product (PDCP) criterion is introduced as an efficient technique to insert repeater in coupled interconnects. Based on PDCP a reduction in crosstalk of about 60 times and delay of 4.2 percent is achieved at trade of 13.2 percent increase in power dissipation in comparison to PDP.

Originality/value

The PDCP criterion is introduced as an efficient technique to insert repeater in coupled interconnects. Instead of PDP criterion, PDCP criterion is best suited for determination of optimum number of repeaters for overall minimization of delay, power and crosstalk.

Details

Microelectronics International, vol. 23 no. 3
Type: Research Article
ISSN: 1356-5362

Keywords

Article
Publication date: 31 July 2007

Brajesh Kumar Kaushik, Sankar Sarkar, R.P. Agarwal and R.C. Joshi

This paper proposes to study the effect of line resistance and driver width on crosstalk noise for a CMOS gate driven inductively and capacitively coupled VLSI interconnects.

Abstract

Purpose

This paper proposes to study the effect of line resistance and driver width on crosstalk noise for a CMOS gate driven inductively and capacitively coupled VLSI interconnects.

Design/methodology/approach

The paper considers a distributed RLC interconnect topology. The interconnect length is 4 mm and far‐end capacitive loading is 30 fF. The SPICE simulation set‐up uses an IBM 0.13 μm, 1.2 V technology model. The input falling ramp has a transition time of 50 ps. The victim line is grounded through a driver resistance of 50 Ω at near end of interconnect. While observing the effect of line resistance, the aggressor driver has PMOS and NMOS widths of 70 and 30 μm, respectively, and the line resistance is varied from 0 to 500 Ω. For capturing the effect of driver width, SPICE waveforms are generated at far end of victim line for three different line resistances (R=0, 30, and 60 Ω respectively). In each case, the aggressor PMOS driver width is swept from 20 to 100 μm. The corresponding NMOS width is half of PMOS width.

Findings

It is observed that, as line resistance increases, the noise peak reduces. This is due to the fact that with increasing resistance the incident and reflected waves traveling along the line experience increasing attenuation. Hence, the waves arriving at the far‐end of the line are of smaller magnitude and larger time durations. This causes noise pulses in the lossy lines to be smaller and wider compared with those in a lossless line. The effect of driver width on noise waveforms is further observed. It is observed that, as the PMOS (and corresponding NMOS) driver width is increased, the victim line gets more prone to crosstalk noise. The crosstalk magnitude level increases alarmingly as driver width is increased, because the driver resistance decreases, which in turn increases the current driving capability of driver.

Originality/value

While designing coupled interconnects, driver width and line resistance play an important role in deciding the crosstalk level. An interconnect designer often increases driver width and reduces line resistance for achieving lower propagation delays. This effort may result in higher crosstalk noise in coupled interconnect. Therefore, a designer should be concerned simultaneously for crosstalk noise while reducing delays.

Details

Microelectronics International, vol. 24 no. 3
Type: Research Article
ISSN: 1356-5362

Keywords

Article
Publication date: 12 October 2010

Yograj Singh Duksh, Brajesh Kumar Kaushik, Sankar Sarkar and Raghuvir Singh

The purpose of this paper is to explore and evaluate the performance comparison of carbon nanotubes (CNT) and nickel silicide (NiSi) nanowires interconnects as prospective…

1405

Abstract

Purpose

The purpose of this paper is to explore and evaluate the performance comparison of carbon nanotubes (CNT) and nickel silicide (NiSi) nanowires interconnects as prospective alternatives to copper wire interconnects.

Design/methodology/approach

The increasing resistivity of the copper wire with scaling and rising demands on current density drives the need for identifying new wiring solutions. This paper explores the various alternatives to copper. The metallic bundle CNTs and NiSi nanowires are promising candidates that can potentially address the challenges faced by copper. This paper analyzes various electrical models of carbon nanotube and recently introduced novel interconnect solution using NiSi nanowires.

Findings

The theoretical studies proves CNTs and NiSi nanowires to be better alternatives against copper on the ground of performance parameters, such as effective current density, delay and power consumption. NiSi nanowire provides highest propagation speed for short wire length, and copper is the best for intermediate wire length, while bundle CNTs is faster for long wire length. NiSi nanowire has lowest power consumption than copper and CNTs.

Originality/value

This paper investigates, assess and compares the performance of carbon nanotubes (CNT) and NiSi nanowires interconnects as prospective alternatives to copper wire interconnects in future VLSI chips.

Details

Journal of Engineering, Design and Technology, vol. 8 no. 3
Type: Research Article
ISSN: 1726-0531

Keywords

Content available
Article
Publication date: 12 October 2010

Theo C. Haupt

311

Abstract

Details

Journal of Engineering, Design and Technology, vol. 8 no. 3
Type: Research Article
ISSN: 1726-0531

Article
Publication date: 6 August 2019

Bikash Kanti Sarkar and Shib Sankar Sana

The purpose of this study is to alleviate the specified issues to a great extent. To promote patients’ health via early prediction of diseases, knowledge extraction using data…

251

Abstract

Purpose

The purpose of this study is to alleviate the specified issues to a great extent. To promote patients’ health via early prediction of diseases, knowledge extraction using data mining approaches shows an integral part of e-health system. However, medical databases are highly imbalanced, voluminous, conflicting and complex in nature, and these can lead to erroneous diagnosis of diseases (i.e. detecting class-values of diseases). In literature, numerous standard disease decision support system (DDSS) have been proposed, but most of them are disease specific. Also, they usually suffer from several drawbacks like lack of understandability, incapability of operating rare cases, inefficiency in making quick and correct decision, etc.

Design/methodology/approach

Addressing the limitations of the existing systems, the present research introduces a two-step framework for designing a DDSS, in which the first step (data-level optimization) deals in identifying an optimal data-partition (Popt) for each disease data set and then the best training set for Popt in parallel manner. On the other hand, the second step explores a generic predictive model (integrating C4.5 and PRISM learners) over the discovered information for effective diagnosis of disease. The designed model is a generic one (i.e. not disease specific).

Findings

The empirical results (in terms of top three measures, namely, accuracy, true positive rate and false positive rate) obtained over 14 benchmark medical data sets (collected from https://archive.ics.uci.edu/ml) demonstrate that the hybrid model outperforms the base learners in almost all cases for initial diagnosis of the diseases. After all, the proposed DDSS may work as an e-doctor to detect diseases.

Originality/value

The model designed in this study is original, and the necessary parallelized methods are implemented in C on Cluster HPC machine (FUJITSU) with total 256 cores (under one Master node).

Details

Journal of Modelling in Management, vol. 14 no. 3
Type: Research Article
ISSN: 1746-5664

Keywords

Article
Publication date: 14 May 2018

Subrata Saha, Nikunja Mohan Modak, Shibaji Panda and Shib Sankar Sana

This paper aims to explore optimal pricing policies and characteristics of a two-level dual-channel supply chain under price- and delivery time-sensitive demand. Besides price of…

Abstract

Purpose

This paper aims to explore optimal pricing policies and characteristics of a two-level dual-channel supply chain under price- and delivery time-sensitive demand. Besides price of the product, the delivery lead time is also a crucial factor in customers’ purchase decisions. A longer delivery lead time would diminish customers’ acceptance and faithfulness on the online channel, while a shorter delivery lead time would lead to incorporation of a substantial amount of logistics costs. In formulation of mathematical model, the effects of delivery lead time on the manufacturer and the retailer’s pricing strategies and profits in cooperative and non-cooperative dual-channel supply chain are explained analytically.

Design/methodology/approach

The analytical models are formed for both non-cooperative and cooperative scenarios under inconsistent and consistent pricing. The authors examine whether revenue sharing (RS) contract or delivery cost sharing contract can solely coordinate the dual-channel supply chain. If a single contract fails, then the combination of RS contract with delivery cost sharing to achieve channel coordination is discussed.

Findings

It is found that the RS or delivery cost sharing contract cannot coordinate the channel individually but revenue and delivery cost sharing contract jointly coordinate the channel. All analytical results are illustrated numerically, along with sensitivity analysis.

Research limitations/implications

There are many correlated issues that need to be further investigated. First, one good extension to this research may include the consideration of the channel structure with competitive retailers. It will be interesting to analyze the performance of coordination mechanisms by considering the retailer as a Stackelberg leader in retailing.

Originality/value

The findings and subsequent methodological discussions aim to provide practical guidance to retailers who are allowing customers to choose how, when and where they interact and purchase by offering a combination of websites (fully functional and mobile-enabled), catalogs and stores with increasing convergence of channels.

Details

Journal of Modelling in Management, vol. 13 no. 2
Type: Research Article
ISSN: 1746-5664

Keywords

Article
Publication date: 5 August 2022

N. Keerthi Reddy and M. Sankar

This study aims to numerically study the buoyant convective flow of two different nanofluids in a porous annular domain. A uniformly heated inner cylinder, cooled outer…

Abstract

Purpose

This study aims to numerically study the buoyant convective flow of two different nanofluids in a porous annular domain. A uniformly heated inner cylinder, cooled outer cylindrical boundary and adiabatic horizontal surfaces are considered because of many industrial applications of this geometry. The analysis also addresses the comparative study of different porous media models governing fluid flow and heat transport.

Design/methodology/approach

The finite difference method has been used in the current simulation work to obtain the numerical solution of coupled partial differential equations. In particular, the alternating direction implicit method is used for solving transient equations, and the successive line over relaxation iterative method is used to solve time-independent equation by choosing an optimum value for relaxation parameter. Simpson’s rule is adopted to estimate average Nusselt number involving numerical integration. Various grid sensitivity checks have been performed to assess the sufficiency of grid size to obtain accurate results. In this analysis, a general porous media model has been considered, and a comparative study between three different models has been investigated.

Findings

Numerical simulations are performed for different combinations of the control parameters and interesting results are obtained. It has been found that the an increase in Darcy and Rayleigh numbers enhances the thermal transport rate and strengthens the nanofluid movement in porous annulus. Also, higher flow circulation rate and thermal transport has been detected for Darcy model as compared to non-Darcy models. Thermal mixing could be enhanced by considering a non-Darcy model.

Research limitations/implications

The present results could be effectively used in many practical applications under the limiting conditions of two-dimensionality and axi-symmetry conditions. The only drawback of the current study is it does not include the three-dimensional effects.

Practical implications

The results could be used as a first-hand information for the design of any thermal systems. This will help the design engineer to have fewer trial-and-run cases for the new design.

Originality/value

A pioneering numerical investigation on the buoyant convective flow of two different nanofluids in an annular porous domain has been carried out by using a general Darcy–Brinkman–Forchheimer model to govern fluid flow in porous matrix. The results obtained from current investigation are novel and original, with numerous practical applications of nanofluid saturated porous annular enclosure in the modern industry.

Details

International Journal of Numerical Methods for Heat & Fluid Flow, vol. 33 no. 2
Type: Research Article
ISSN: 0961-5539

Keywords

1 – 10 of 44