Search results

1 – 10 of 138
Article
Publication date: 6 April 2012

Annapurna Addagarla and N. Siva Prasad

Out‐of‐plane displacement (warpage) is one of the major thermomechanical reliability concerns for board‐level electronic packaging. The warpage and residual stresses can cause…

Abstract

Purpose

Out‐of‐plane displacement (warpage) is one of the major thermomechanical reliability concerns for board‐level electronic packaging. The warpage and residual stresses can cause unreliability in the performance of electronic chip. An accurate estimation of the distortion and the residual stresses will help in selecting right combination of material for soldering and to determine the better assembly procedure of the chip. The purpose of this paper is to create a 3D nonlinear finite element model to predict the warpage, bending stresses, shear and peel stresses in a flip‐chip on board (FCOB).

Design/methodology/approach

A 3D finite element procedure has been developed considering the material nonlinearity during solidification for a FCOB assembly. Finite element results have been compared with the experimental values.

Findings

The present finite element method gives better approximation of residual warpage and stresses compared to analytical models available in the literature.

Originality/value

The 3D finite element approach considering the elasto‐plastic and temperature‐dependent material properties has not been attempted by any authors. Experiments have been conducted for the comparison of finite element values. The finite element results compare better than the methods available in the literature. Hence a better method for estimating the deformation and residual stresses in flip‐chip assembly has been suggested.

Details

Soldering & Surface Mount Technology, vol. 24 no. 2
Type: Research Article
ISSN: 0954-0911

Keywords

Article
Publication date: 6 September 2021

Chun Hei Edmund Sek, M.Z. Abdullah, Kok Hwa Hwa Yu and Shaw Fong Wong

This study aims to simulate molded printed circuit board (PCB) warpage behavior under reflow temperature distribution. Simulation models are used to estimate dynamic warpage

Abstract

Purpose

This study aims to simulate molded printed circuit board (PCB) warpage behavior under reflow temperature distribution. Simulation models are used to estimate dynamic warpage behavior for different form factor sizes.

Design/methodology/approach

This study analyzes warpage during the reflow process. The shadow moiré experiment methodology is used to collect data on the dynamic warpage performance of a model with a form factor of 10mm × 10mm × 1mm. The temperature profile with heating from 25°C to 300°C at intervals of 50°C is used, and the sample is made to undergo a cooling process until it reaches the room temperature. Subsequently, ANSYS static structural simulation is performed on similar form factor models to ascertain the accuracy of the simulation results.

Findings

Results show that the deformation and total force induced by coefficient of thermal expansion (CTE) mismatch are examined based on the warpage performance of models with different sizes, that is, 45mm × 45mm × 1mm and 45mm × 15mm × 1mm. Compared with the experimental data, the simulated modeling accuracy yields a less than 5% deviation in the dynamic warpage prediction at a reflow temperature of 300°C. Results also reveal that the larger the model, the larger the warpage changes under the reflow temperature.

Research limitations/implications

The simulated warpage is limited to the temperature and force induced by CTE mismatch between two materials. The form factor of the ball-grid array model is limited to only three different sizes. The model is assumed to be steady, isothermal and static. The simulation adopts homogenous materials, as it cannot accurately model nonhomogeneous multilayered composite materials.

Practical implications

This study can provide engineers and researchers with a profound understanding of molded PCB warpage, minimal resource utilization and the improved product development process.

Social implications

The accurate prediction of molded PCB warpage can enable efficient product development and reduce resources and production time, thereby creating a sustainable environment.

Originality/value

The literature review points out that warpage in various types of PCBs was successfully examined, and that considerable efforts were exerted to investigate warpage reduction in PCB modules. However, PCB warpage studies are limited to bare PCBs. To the best of the authors’ knowledge, the examination of warpage in a molded PCB designed with a molded compound cover, as depicted in Figure 3, is yet to be conducted. A molded compound provides strong lattice support for PCBs to prevent deformation during the reflow process, which is a topic of considerable interest and should be explored.

Details

Circuit World, vol. 49 no. 2
Type: Research Article
ISSN: 0305-6120

Keywords

Article
Publication date: 20 April 2015

Shi Zhang, Yun Zhang, Songxin Shi, Zhigao Huang and Huamin Zhou

– The purpose of this paper is to propose a new surface model combining the eccentric shell with multi-point constraint (MPC) for warpage prediction of injection molded plastics.

Abstract

Purpose

The purpose of this paper is to propose a new surface model combining the eccentric shell with multi-point constraint (MPC) for warpage prediction of injection molded plastics.

Design/methodology/approach

In this paper, three benchmark tests and a practical example are implemented to evaluate the performance of the new surface model and existing models.

Findings

The results demonstrate that the proposed model could give satisfactory solutions and has advantages over the existing models.

Practical implications

More precisely predicted warpage field for injection molded plastics can be achieved with the purposed model, such as that in the practical case.

Originality/value

The surface models are efficient and still popular for practical injection molding analysis. However, the existing models for warpage prediction cannot properly represent the true strain energy and obey material continuity assumption, and also they have not been assessed rigorously by benchmark tests. To overcome above-mentioned difficulty, a new surface model is proposed, which employs the eccentric shell without shear factor and MPC equation that ensuring material continuity. The results from experiment illustrate that the new model is superior to existing models.

Details

Engineering Computations, vol. 32 no. 2
Type: Research Article
ISSN: 0264-4401

Keywords

Article
Publication date: 27 July 2012

Sung Yi and Tatiana M. Lam

The purpose of this paper is to provide a design and material selection guideline for a plastic ball grid array (PBGA) package in order to improve its reliability and…

Abstract

Purpose

The purpose of this paper is to provide a design and material selection guideline for a plastic ball grid array (PBGA) package in order to improve its reliability and manufacturing ability after post mold cure.

Design/methodology/approach

Numerical experiments based on a three‐dimensional (3‐D) viscoelastic finite element method have been conducted to evaluate governing damage mechanisms after post mold cure (PMC) for PBGA packages. The parametric studies for the PBGA package with various molding compounds have been performed. A wide range of the modulus (1MPa∼15GPa) and the coefficient of thermal expansion (CTE) (10ppm∼300ppm) are evaluated to see feasibility of a new class of material set in the molding compound. Effects of thermo‐mechanical properties of selected molding compound on the warpage and residual stress of the PBGA are analyzed.

Findings

The present study shows that the material properties such as modulus and CTE of molding compounds play an important role in warpages and reliability of PBGA packages. After post mold cure, compressive normal stress σxx is observed in the silicon die, while tensile stress occurs in the rest of the PBGA package. The maximum normal stress σxx is observed at the center of the silicon die and decreases near the edge of the package. As the coefficient of thermal expansion of the silicon die is substantially less than that of the molding compound or substrate, the molding compound and the substrate are trying to shrink more when temperature decreases and in turn compressing the silicon chip. The molding compound with low modulus produces low stresses in the Si die and the die attach. Moreover, for the low modulus case, the CTE of molding compound does not affect the warpage of the PBGA package and the stresses in the silicon die or the die attach. However, for the high modulus case, the warpage and stresses are increased significantly by increasing the CTE of molding compound.

Research limitations/implications

It is suggested that adhesion strengths of die attaches should be studied in future studies, since those affect the delamination between dies and substrates.

Practical implications

The findings can be used as general design guidelines for a PBGA package.

Originality/value

The results presented in the paper will be very useful to designers of PBGAs.

Details

Microelectronics International, vol. 29 no. 3
Type: Research Article
ISSN: 1356-5362

Keywords

Article
Publication date: 19 September 2020

Li Zhang, Linshan Ding, Saif Ullah, Tao Hu, Yangyang Xu, Li Chen and Muhammad Hanif

The principle of the medial axis calculation is complicated and difficult to implement. Moreover, the accuracy is not high. Then, as the generated path has an endpoint at the…

Abstract

Purpose

The principle of the medial axis calculation is complicated and difficult to implement. Moreover, the accuracy is not high. Then, as the generated path has an endpoint at the boundary of the polygon, burrs may appear on the surface of the molded piece. This paper aims to improve the warpage deformation of SLM molded parts and the surface quality of molded parts, an improved mid-axis path generation algorithm is proposed.

Design/methodology/approach

First, the center point is calculated by the seed point growth method based on the distance transform, and the obtained medial axis has high precision and is suitable for simple polygons and complex polygons. Then, based on the extracted medial axis, a preliminary path is generated, the path is trimmed with MATLAB to remove the redundant path. Finally, a scan along the contour of the polygon is performed to improve the surface quality of the molded part.

Findings

The algorithm reduces the internal stress generated during the molding process by continuously changing the scanning direction of the path along the boundary curve of the scanning area, thereby reducing the amount of warpage of the molded part. The result of extraction has a higher precision and wider scope of application than other methods to extract central axis, such as the Voronoi diagram-based method. The path is trimmed to remove redundant paths and the polygon boundaries are scanned to further improve the surface quality of the molded part. The results show that warpage deformation of the proposed algorithm is significantly smaller than the other two methods, thus the forming precision is higher.

Originality/value

An improved medial axis path generation algorithm is proposed in this paper. The proposed method is applied to improve warpage deformation occurring in the SLM process. Seed point growth of distance transformation is used to extracted central axis. The result of extraction has a higher precision and wider scope of application than other methods to extract central axis, such as the Voronoi diagram-based method.

Details

Rapid Prototyping Journal, vol. 26 no. 10
Type: Research Article
ISSN: 1355-2546

Keywords

Article
Publication date: 4 April 2016

Liming Chen, Enying Li and Hu Wang

Reflow soldering process is an important step of the surface mount technology. The purpose of this paper is to minimize the maximum warpage of shielding frame by controlling…

Abstract

Purpose

Reflow soldering process is an important step of the surface mount technology. The purpose of this paper is to minimize the maximum warpage of shielding frame by controlling reflow soldering control parameters.

Design/methodology/approach

Compared with other reflow-related design methods, both time and temperate of each extracted time region are considered. Therefore, the number of design variable is increased. To solve the high-dimensional problem, a surrogate-assisted optimization (SAO) called adaptive Kriging high-dimensional representation model (HDMR) is used.

Findings

Therefore, the number of design variable is increased. To solve the high-dimensional problem, a surrogate-assisted optimization (SAO) called HDMR is used. The warpage of shield frame is significantly reduced. Moreover, the correlations of design variables are also disclosed.

Originality/value

Compared with the original Kriging HDMR, the expected improvement (EI) criterion is used and a new projection strategy is suggested to improve the efficiency of optimization method. The application suggests that the adaptive Kriging HDMR has potential capability to solve such complicated engineering problems.

Details

Soldering & Surface Mount Technology, vol. 28 no. 2
Type: Research Article
ISSN: 0954-0911

Keywords

Article
Publication date: 1 August 1998

Jaroslav Mackerle

This paper gives a review of the finite element techniques (FE) applied in the area of material processing. The latest trends in metal forming, non‐metal forming, powder…

4529

Abstract

This paper gives a review of the finite element techniques (FE) applied in the area of material processing. The latest trends in metal forming, non‐metal forming, powder metallurgy and composite material processing are briefly discussed. The range of applications of finite elements on these subjects is extremely wide and cannot be presented in a single paper; therefore the aim of the paper is to give FE researchers/users only an encyclopaedic view of the different possibilities that exist today in the various fields mentioned above. An appendix included at the end of the paper presents a bibliography on finite element applications in material processing for 1994‐1996, where 1,370 references are listed. This bibliography is an updating of the paper written by Brannberg and Mackerle which has been published in Engineering Computations, Vol. 11 No. 5, 1994, pp. 413‐55.

Details

Engineering Computations, vol. 15 no. 5
Type: Research Article
ISSN: 0264-4401

Keywords

Article
Publication date: 15 September 2021

You-Cheng Chang, Hong-Chuong Tran and Yu-Lung Lo

Laser powder bed fusion (LPBF) provides the means to produce unique components with almost no restriction on geometry in an extremely short time. However, the high-temperature…

Abstract

Purpose

Laser powder bed fusion (LPBF) provides the means to produce unique components with almost no restriction on geometry in an extremely short time. However, the high-temperature gradient and high cooling rate produced during the fabrication process result in residual stress, which may prompt part warpage, cracks or even baseplate separation. Accordingly, an appropriate selection of the LPBF processing parameters is essential to ensure the quality of the built part. This study, thus, aims to develop an integrated simulation framework consisting of a single-track heat transfer model and a modified inherent shrinkage method model for predicting the curvature of an Inconel 718 cantilever beam produced using the LPBF process.

Design/methodology/approach

The simulation results for the curvature of the cantilever beam are calibrated via a comparison with the experimental observations. It is shown that the calibration factor required to drive the simulation results toward the experimental measurements has the same value for all settings of the laser power and scanning speed. Representative combinations of the laser power and scanning speed are, thus, chosen using the circle packing design method and supplied as inputs to the validated simulation framework to predict the corresponding cantilever beam curvature and density. The simulation results are then used to train artificial neural network models to predict the curvature and solid cooling rate of the cantilever beam for any combination of the laser power and scanning speed within the input design space. The resulting processing maps are screened in accordance with three quality criteria, namely, the part density, the radius of curvature and the solid cooling rate, to determine the optimal processing parameters for the LPBF process.

Findings

It is shown that the parameters lying within the optimal region of the processing map reduce the curvature of the cantilever beam by 17.9% and improve the density by as much as 99.97%.

Originality/value

The present study proposes a computational framework, which could find the parameters that not only yield the lowest distortion but also produce fully dense components in the LPBF process.

Article
Publication date: 30 September 2014

Zixiang Hu, Zhenmin Wang, Shi Zhang, Yun Zhang and Huamin Zhou

The purpose of this paper is to propose a combined reordering scheme with a wide range of application, called Reversed Cuthill-McKee-approximate minimum degree (RCM-AMD), to…

191

Abstract

Purpose

The purpose of this paper is to propose a combined reordering scheme with a wide range of application, called Reversed Cuthill-McKee-approximate minimum degree (RCM-AMD), to improve a preconditioned general minimal residual method for solving equations using Lagrange multiplier method, and facilitates the choice of the reordering for the iterative method.

Design/methodology/approach

To reordering the coefficient matrix before a preconditioned iterative method will greatly impact its convergence behavior, but the effect is very problem-dependent, even performs very differently when different preconditionings applied for an identical problem or the scale of the problem varies. The proposed reordering scheme is designed based on the features of two popular ordering schemes, RCM and AMD, and benefits from each of them.

Findings

Via numerical experiments for the cases of various scales and difficulties, the effects of RCM-AMD on the preconditioner and the convergence are investigated and the comparisons of RCM, AMD and RCM-AMD are presented. The results show that the proposed reordering scheme RCM-AMD is appropriate for large-scale and difficult problems and can be used more generally and conveniently. The reason of the reordering effects is further analyzed as well.

Originality/value

The proposed RCM-AMD reordering scheme preferable for solving equations using Lagrange multiplier method, especially considering that the large-scale and difficult problems are very common in practical application. This combined reordering scheme is more wide-ranging and facilitates the choice of the reordering for the iterative method, and the proposed iterative method has good performance for practical cases in in-house and commercial codes on PC.

Details

Engineering Computations, vol. 31 no. 7
Type: Research Article
ISSN: 0264-4401

Keywords

Article
Publication date: 17 September 2019

Rafael Quelho de Macedo, Rafael Thiago Luiz Ferreira and Kuzhichalil Jayachandran

This paper aims to present experimental and numerical analyses of fused filament fabrication (FFF) printed parts and show how mechanical characteristics of printed ABS-MG94…

Abstract

Purpose

This paper aims to present experimental and numerical analyses of fused filament fabrication (FFF) printed parts and show how mechanical characteristics of printed ABS-MG94 (acrylonitrile butadiene styrene) are influenced by the void volume fraction, cooling rate and residual thermal stresses.

Design/methodology/approach

Printed specimens were experimentally tested to evaluate the mechanical properties for different printing speeds, and micrographs were taken. A thermo-mechanical finite element model, able to simulate the FFF process, was developed to calculate the temperature fields in time, cooling rate and residual thermal stresses. Finally, the experimental mechanical properties and the microstructure distribution could be explained by the temperature fields in time, cooling rate and residual thermal stresses.

Findings

Micrographs revealed the increase of void volume fraction with the printing speed. The variations on voids were associated to the temperature fields in time: when the temperatures remained high for longer periods, less voids were generated. The Young's Modulus of the deposited filament varied according to the cooling rate: it decreased when the cooling rate increased. The influence of the residual thermal stresses and void volume fraction on the printed parts failure was also investigated: in the worst scenarios evaluated, the void volume fraction reduced the strength in 9 per cent, while the residual thermal stresses reduced it in 3.8 per cent.

Originality/value

This work explains how the temperature fields can affect the void volume fraction, Young's Modulus and failure of printed parts. Experimental and numerical results are shown. The presented research can be used to choose printing parameters to achieve desired mechanical properties of FFF printed parts.

Details

Rapid Prototyping Journal, vol. 25 no. 10
Type: Research Article
ISSN: 1355-2546

Keywords

1 – 10 of 138