Search results

1 – 10 of over 1000
Article
Publication date: 1 December 2006

Stuart Lambert

To study the influence of temperature on the electroplating efficiency of various metals from ionic liquids.

1148

Abstract

Purpose

To study the influence of temperature on the electroplating efficiency of various metals from ionic liquids.

Design/methodology/approach

Copper, silver, nickel and tin, in the form of metal chlorides, were dissolved in a number of ionic liquids. After using cyclic voltammetry to establish an optimum current density to electroplate each metal, basic electroplating processes were carried out at varying temperatures onto stainless steel. The mass deposited was used to calculate the efficiency of the process.

Findings

It was found that, generally, temperature influences the efficiency of electroplating from ionic solutions. While some solutions showed continuing improvements in plating efficiency as the temperature increased, others exhibited an optimum plating temperature. One solution examined showed the need for a certain temperature to be reached in order to induce the formation of a different metal complex before electroplating was achievable.

Research limitations/implications

The low currents used in the electroplating experiments have a large influence on the errors in the efficiency, especially at lower temperatures.

Originality/value

Consideration of the use of ionic liquids in electroplating for printed circuit board manufacturing is relatively new. Knowledge of the strengths and weaknesses of metals in various ionic liquids will allow potential plating solutions to be better understood in terms of their suitability for PCB fabrication.

Details

Circuit World, vol. 32 no. 4
Type: Research Article
ISSN: 0305-6120

Keywords

Article
Publication date: 2 January 2024

Chongbin Hou, Yang Qiu, Xingyan Zhao, Shaonan Zheng, Yuan Dong, Qize Zhong and Ting Hu

By investigating the thermal-mechanical interaction between the through silicon via (TSV) and the Cu pad, this study aimed to determine the effect of electroplating defects on the…

Abstract

Purpose

By investigating the thermal-mechanical interaction between the through silicon via (TSV) and the Cu pad, this study aimed to determine the effect of electroplating defects on the upper surface protrusion and internal stress distribution of the TSV at various temperatures and to provide guidelines for the positioning of TSVs and the optimization of the electroplating process.

Design/methodology/approach

A simplified model that consisted of a TSV (100 µm in diameter and 300 µm in height), a covering Cu pad (2 µm thick) and an internal drop-like electroplating defect (which had various dimensions and locations) was developed. The surface overall deformation and stress distribution of these models under various thermal conditions were analyzed and compared.

Findings

The Cu pad could barely suppress the upper surface protrusion of the TSV if the temperature was below 250 ?. Interfacial delamination started at the collar of the TSV at about 250 ? and became increasingly pronounced at higher temperatures. The electroplating defect constantly experienced the highest level of strain and stress during the temperature increase, despite its geometry or location. But as its radius expanded or its distance to the upper surface increased, the overall deformation of the upper surface and the stress concentration at the collar of the TSV showed a downward trend.

Originality/value

Previous studies have not examined the influence of the electroplating void on the thermal behavior of the TSV. However, with the proposed methodology, the strain and stress distribution of the TSV under different conditions in terms of temperature, dimension and location of the electroplating void were thoroughly investigated, which might be beneficial to the positioning of TSVs and the optimization of the electroplating process.

Details

Multidiscipline Modeling in Materials and Structures, vol. 20 no. 1
Type: Research Article
ISSN: 1573-6105

Keywords

Article
Publication date: 1 September 2001

A.J. Cobley and D.R. Gabe

Significant reductions in the cycle time for the desmear, “making holes conductive” and imaging stages of the printed circuit board manufacturing process have been achieved by the…

1283

Abstract

Significant reductions in the cycle time for the desmear, “making holes conductive” and imaging stages of the printed circuit board manufacturing process have been achieved by the use of horizontal conveyorised techniques. If these savings in time are to be fully realised, it is also necessary to have a high‐speed acid copper electroplating process that, by implication, must be capable of operating at very high current densities. This paper outlines the fundamental electrochemical principles of acid copper electroplating and explains how these impact on high speed electroplating in terms of the electrolyte chemistry, the construction of the plating cell and the method in which the current is delivered (i.e. DC or pulse).

Details

Circuit World, vol. 27 no. 3
Type: Research Article
ISSN: 0305-6120

Keywords

Article
Publication date: 24 August 2010

A.J. Cobley, D.J. Comeskey, L. Paniwnyk and T.J. Mason

The purpose of this paper is to investigate if copper nanoparticles could be utilized for two types of through hole plating in printed circuit boards, namely: as a catalytic…

Abstract

Purpose

The purpose of this paper is to investigate if copper nanoparticles could be utilized for two types of through hole plating in printed circuit boards, namely: as a catalytic material to initiate the electroless copper deposition process; and as a “conductive” layer which is coherent and conductive enough to allow “direct” electroplating of the through hole. The employment of nanoparticles means that an effective method of dispersion is required and this paper studies the use of mechanical agitation and ultrasound for this purpose.

Design/methodology/approach

The paper utilized drilled, copper clad FR4 laminate. The through holes were functionalized using a commercially available “conditioner” before being immersed in a solution of copper nanoparticles which were dispersed using either a magnetic stirrer or ultrasound (40 kHz). When the copper nanoparticles were utilized as a catalytic material for electroless copper plating, the efficacy of the technique was assessed using a standard “backlight” test which allowed the plating coverage of the through holes to be determined. As a control, a standard palladium catalysed electroless copper process was employed. The morphology of the electroless copper deposits was also analysed using scanning electron microscopy. In the “direct plate” approach, after immersion in the copper nanoparticle dispersion, the through holes were electroplated at 3 Adm−2 for 15 min, sectioned and examined using an optical microscope. The distance that the copper electroplate had penetrated down the through hole was then determined.

Findings

The paper has shown that copper nanoparticles can be used as a catalytic material for electroless copper plating. The coverage of the electroless copper in the through hole improves as the copper nanoparticle concentration increases and, at the highest copper nanoparticle concentrations employed, good, but not complete, electroless copper coverage is obtained. Dispersion of the copper nanoparticles using ultrasound is critical to the process. Ultrasonically dispersed copper nanoparticles achieve some limited success as a conductive layer for “direct” electroplating with some penetration of the electroplated deposit into the through hole. However, if mechanical agitation is employed to mix the nanoparticles, no through hole plating obtaines.

Originality/value

The paper has demonstrated the “proof of concept” that copper nanoparticles can be utilized to catalyse the electroless copper process, as well as their potential to replace costly palladium‐based activators. The paper also illustrates the potential for copper nanoparticles to be used as a “direct plate process” and the necessity for using ultrasound for their dispersion in either process.

Details

Circuit World, vol. 36 no. 3
Type: Research Article
ISSN: 0305-6120

Keywords

Article
Publication date: 29 July 2014

Jing Wang, Miao Wu and Chengqiang Cui

The purpose of this paper is to present a clear picture of the key factors of blind via and through hole filling in electroplating, e.g. shape of via or hole, electroplating…

1098

Abstract

Purpose

The purpose of this paper is to present a clear picture of the key factors of blind via and through hole filling in electroplating, e.g. shape of via or hole, electroplating solution, process, as well as the developments of mechanisms and models.

Design/methodology/approach

First, the paper details the development trends and challenges of via filling. Then the research status of mechanisms, electroplating solutions, including base solution and additives, numerical model and mass transfer is described. Finally, through hole filling is briefly reviewed.

Findings

To achieve excellent via filling performance, the characteristics of the via or hole, the ratio of acid/copper, selection of additives and factors of mass transfer are comprehensively considered in terms of optimization of the electroplating process. It is beneficial to design vias with appropriate aspect ratios, to strengthen the adsorption of the accelerator in the via bottom, to inhibit the increase of surface copper thickness and to form butterfly-shaped copper in the centre of through holes. Optimized process parameters should be taken into consideration in superfilling.

Originality/value

The paper reviews different sets of additives, mechanisms and superfilling models for state-of-the-art via filling and the developments of filling for through holes.

Details

Circuit World, vol. 40 no. 3
Type: Research Article
ISSN: 0305-6120

Keywords

Article
Publication date: 1 December 2003

A.J. Cobley and D.R. Gabe

Despite the fact that insoluble anodes are becoming more utilized in acid copper electroplating for printed circuit board manufacture, little work has been published on the impact…

Abstract

Despite the fact that insoluble anodes are becoming more utilized in acid copper electroplating for printed circuit board manufacture, little work has been published on the impact of using such anodes on the process control of the baths or their effect on the electroplated deposit. In this study, two electroplating tanks were set up, which were identical in all aspects except that one tank used the traditional phosphorized soluble anodes, whilst the other employed insoluble anodes. Incorporating insoluble anodes into the electroplating tank caused a large increase in brightener consumption rate and the mechanical properties of the electroplate and the throwing power tended to be somewhat inferior to when soluble copper anodes were employed. This was thought to be due to the problems of controlling the brightener concentration at optimum levels rather than to any deterioration in the electrolyte, attributable to, for example, by‐product build‐up.

Details

Circuit World, vol. 29 no. 4
Type: Research Article
ISSN: 0305-6120

Keywords

Article
Publication date: 19 July 2018

Chaolei Ban, Shuqin Zhu, Jie Ma, Fangreng Wang, Zhengfeng Jia and Jie Wang

Ni coating was electroplated on carbon steel substrate to protect carbon steel.

Abstract

Purpose

Ni coating was electroplated on carbon steel substrate to protect carbon steel.

Design/methodology/approach

During electroplating, the ultrasonic irradiation (UI) (1 kHz) action was in situ used with different frequency. The influence of UI on the microstructure, mechanical and electrochemical performance of the coating was studied with scanning electron microscopy, X-ray diffraction, microhardness measurement, polarization curves and electrochemical impedance spectroscopy.

Findings

The results show that comparing that without UI imposition, UI during electroplating can refine the coating grain and decrease the micro-pores in the coating, resulting in improvement of the coating corrosion and hardness.

Originality/value

The imposition of UI action during electroplating Ni coating can remove intrinsic pores in the coating and compact the coating. The potential bimetallic cell between substrate and plating layer can be insulated to enhance the corrosion resistance of Ni coating. The imposition of UI action during electroplating Ni coating can refine Ni coating grain size and improve the coating haredness.

Details

Anti-Corrosion Methods and Materials, vol. 65 no. 4
Type: Research Article
ISSN: 0003-5599

Keywords

Article
Publication date: 1 December 2004

N. Saleh, N. Hopkinson, R.J.M. Hague and S. Wise

This paper provides a quantitative and qualitative assessment of the effects of electroplating on polymer parts made by stereolithography (SL) and laser sintering. A series of…

1687

Abstract

This paper provides a quantitative and qualitative assessment of the effects of electroplating on polymer parts made by stereolithography (SL) and laser sintering. A series of test samples were coated with copper and nickel with varying thickness. Thicker coatings (120 μm) were reproduced with a repeatability that should not adversely affect the tolerances with which such parts may be produced given the tolerances of the initial rapid prototyping processes themselves. Thinner coatings (20 μm) resulted in a smother surface finish than thicker coatings for SL parts, however the converse was true for laser‐sintered parts. Composite theory was used to predict that thicker coating would lead to higher Young's modulus in parts and this was shown to be true in physical tests although the practical values were lower than the predicted values especially for thicker coatings. Physical tests also confirmed that thicker coatings increased UTS and impact energy but had a minimal effect on the ductility of parts.

Details

Rapid Prototyping Journal, vol. 10 no. 5
Type: Research Article
ISSN: 1355-2546

Keywords

Article
Publication date: 1 September 2004

Wei‐Ping Dow and Hsiang‐Hao Chen

Printed circut boards (PCBs) have diminished in size and, simultaneously, their circuit densities have increased. Conventional multi‐layered PCBs have a limitation to higher…

1140

Abstract

Printed circut boards (PCBs) have diminished in size and, simultaneously, their circuit densities have increased. Conventional multi‐layered PCBs have a limitation to higher packaging densities. This paper introduces a new copper electroplating formula that is able to fill vias and through holes simultaneously and is used in a DC plating method, in which the copper thickness deposited on the board surface is relatively very thin after the electroplating is completed.

Details

Circuit World, vol. 30 no. 3
Type: Research Article
ISSN: 0305-6120

Keywords

Article
Publication date: 1 March 1999

Yun Zhang and Joseph A. Abys

A novel tin electrodeposition chemistry and process has been developed at Bell Laboratories, Lucent Technologies, New Jersey, USA. This process produces smooth, satin bright tin…

1498

Abstract

A novel tin electrodeposition chemistry and process has been developed at Bell Laboratories, Lucent Technologies, New Jersey, USA. This process produces smooth, satin bright tin deposits which have stable, large grain structures. The deposits contain very low organic content and, as a consequence, exhibit excellent ductility, solderability and reflowability. The chemistry is capable of operating at elevated temperatures over a wide range of current densities, and is, thus, applicable to rack, barrel and reel‐to‐reel operations. All chemical components, including breakdown products are fully analyzable with conventional analytical methods. Extensive bath life studies show that the deposit appearance and material properties, including grain structures, are stable in relation to the age of the electroplating chemistry. In addition, the grain refiners used are highly stable, and have few breakdown products as the chemistry ages. All these features imply a robust process which has been confirmed in various manufacturing environments. This tin electroplating process has been utilized in plating coatings for connectors, solder bumps, PWBs and components for semiconductor applications.

Details

Circuit World, vol. 25 no. 1
Type: Research Article
ISSN: 0305-6120

Keywords

1 – 10 of over 1000